User Tools

Site Tools


readings

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
readings [2021/12/29 16:19] alsermreadings [2021/12/30 11:02] (current) alserm
Line 46: Line 46:
   * {{https://people.inf.ethz.ch/omutlu/pub/processing-in-memory_workload-driven-perspective_IBMjrd19.pdf|Saugata Ghose, Amirali Boroumand, Jeremie S. Kim, Juan Gomez-Luna, and Onur Mutlu, "Processing-in-Memory: A Workload-Driven Perspective," IBM Journal of Research & Development, 2019}}    * {{https://people.inf.ethz.ch/omutlu/pub/processing-in-memory_workload-driven-perspective_IBMjrd19.pdf|Saugata Ghose, Amirali Boroumand, Jeremie S. Kim, Juan Gomez-Luna, and Onur Mutlu, "Processing-in-Memory: A Workload-Driven Perspective," IBM Journal of Research & Development, 2019}} 
   * {{https://people.inf.ethz.ch/omutlu/pub/dram-row-hammer_isca14.pdf|Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, Onur Mutlu, ”Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors”, ISCA, 2014}}   * {{https://people.inf.ethz.ch/omutlu/pub/dram-row-hammer_isca14.pdf|Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, Onur Mutlu, ”Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors”, ISCA, 2014}}
-  * {{https://arxiv.org/pdf/1904.09724.pdf|O. Mutlu, J. S. Kim, RowHammer: A Retrospective," arXiv, 2019}}+  * {{https://arxiv.org/pdf/1904.09724.pdf|O. Mutlu, J. S. Kim, RowHammer: A Retrospective," IEEE TCAD, 2019}}
   * {{https://people.inf.ethz.ch/omutlu/pub/Revisiting-RowHammer_isca20.pdf | Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, and Onur Mutlu, “Revisiting RowHammer: An Experimental Analysis of Modern Devices and Mitigation Techniques”, ISCA 2020}}   * {{https://people.inf.ethz.ch/omutlu/pub/Revisiting-RowHammer_isca20.pdf | Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, and Onur Mutlu, “Revisiting RowHammer: An Experimental Analysis of Modern Devices and Mitigation Techniques”, ISCA 2020}}
   * {{https://people.inf.ethz.ch/omutlu/pub/rowhammer-TRRespass_ieee_security_privacy20.pdf | Pietro Frigo, Emanuele Vannacci, Hasan Hassan, Victor van der Veen, Onur Mutlu, Cristiano Giuffrida, Herbert Bos, and Kaveh Razavi, "TRRespass: Exploiting the Many Sides of Target Row Refresh", Proceedings of the 41st IEEE Symposium on Security and. Privacy (S&P), 2020}}   * {{https://people.inf.ethz.ch/omutlu/pub/rowhammer-TRRespass_ieee_security_privacy20.pdf | Pietro Frigo, Emanuele Vannacci, Hasan Hassan, Victor van der Veen, Onur Mutlu, Cristiano Giuffrida, Herbert Bos, and Kaveh Razavi, "TRRespass: Exploiting the Many Sides of Target Row Refresh", Proceedings of the 41st IEEE Symposium on Security and. Privacy (S&P), 2020}}
Line 179: Line 179:
   * {{https://people.inf.ethz.ch/omutlu/pub/Revisiting-RowHammer_isca20.pdf | Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, and Onur Mutlu, “Revisiting RowHammer: An Experimental Analysis of Modern Devices and Mitigation Techniques”, ISCA 2020}}   * {{https://people.inf.ethz.ch/omutlu/pub/Revisiting-RowHammer_isca20.pdf | Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, and Onur Mutlu, “Revisiting RowHammer: An Experimental Analysis of Modern Devices and Mitigation Techniques”, ISCA 2020}}
   * {{https://people.inf.ethz.ch/omutlu/pub/CROW-DRAM-substrate-for-performance-energy-reliability_isca19.pdf|H. Hassan, M. Patel, J. S. Kim, A. G. Yaglikci, N. Vijaykumar, N. Mansourighiasi, S. Ghose, O. Mutlu, "CROW: A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability," ISCA 2019}}   * {{https://people.inf.ethz.ch/omutlu/pub/CROW-DRAM-substrate-for-performance-energy-reliability_isca19.pdf|H. Hassan, M. Patel, J. S. Kim, A. G. Yaglikci, N. Vijaykumar, N. Mansourighiasi, S. Ghose, O. Mutlu, "CROW: A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability," ISCA 2019}}
 +  * {{https://arxiv.org/pdf/1904.09724.pdf|O. Mutlu, J. S. Kim, RowHammer: A Retrospective," IEEE TCAD, 2019}}
  
 === Mentioned (Session 6.2) === === Mentioned (Session 6.2) ===
   * [[https://people.inf.ethz.ch/omutlu/pub/BlockHammer_preventing-DRAM-rowhammer-at-low-cost_hpca21.pdf|A. Giray Yaglikci, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, and Onur Mutlu, "BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows". Proceedings of the 27th International Symposium on High-Performance Computer Architecture (HPCA), Virtual, February-March 2021.]]   * [[https://people.inf.ethz.ch/omutlu/pub/BlockHammer_preventing-DRAM-rowhammer-at-low-cost_hpca21.pdf|A. Giray Yaglikci, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, and Onur Mutlu, "BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows". Proceedings of the 27th International Symposium on High-Performance Computer Architecture (HPCA), Virtual, February-March 2021.]]
   * {{https://safari.ethz.ch/architecture/fall2021/lib/exe/fetch.php?media=kim-isca14.pdf|Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, Onur Mutlu, ”Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors”, ISCA, 2014}}   * {{https://safari.ethz.ch/architecture/fall2021/lib/exe/fetch.php?media=kim-isca14.pdf|Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, Onur Mutlu, ”Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors”, ISCA, 2014}}
 +  * {{https://arxiv.org/pdf/1904.09724.pdf|O. Mutlu, J. S. Kim, RowHammer: A Retrospective," IEEE TCAD, 2019}}
  
 ===== Session 7 (16.12 Thu.) ===== ===== Session 7 (16.12 Thu.) =====
Line 189: Line 191:
   * [[https://people.inf.ethz.ch/omutlu/pub/BlockHammer_preventing-DRAM-rowhammer-at-low-cost_hpca21.pdf|A. Giray Yaglikci, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, and Onur Mutlu, "BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows". Proceedings of the 27th International Symposium on High-Performance Computer Architecture (HPCA), Virtual, February-March 2021.]]   * [[https://people.inf.ethz.ch/omutlu/pub/BlockHammer_preventing-DRAM-rowhammer-at-low-cost_hpca21.pdf|A. Giray Yaglikci, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, and Onur Mutlu, "BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows". Proceedings of the 27th International Symposium on High-Performance Computer Architecture (HPCA), Virtual, February-March 2021.]]
   * {{https://safari.ethz.ch/architecture/fall2021/lib/exe/fetch.php?media=kim-isca14.pdf|Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, Onur Mutlu, ”Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors”, ISCA, 2014}}   * {{https://safari.ethz.ch/architecture/fall2021/lib/exe/fetch.php?media=kim-isca14.pdf|Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, Onur Mutlu, ”Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors”, ISCA, 2014}}
 +  * {{https://arxiv.org/pdf/1904.09724.pdf|O. Mutlu, J. S. Kim, RowHammer: A Retrospective," IEEE TCAD, 2019}}
 === Mentioned (Session 7.2) === === Mentioned (Session 7.2) ===
   * {{https://safari.ethz.ch/architecture_seminar/fall2021/lib/exe/fetch.php?media=ga03.ieeesnp.pdf|Using Memory Errors to Attack a Virtual Machine, IEEE S&P 2003.}}   * {{https://safari.ethz.ch/architecture_seminar/fall2021/lib/exe/fetch.php?media=ga03.ieeesnp.pdf|Using Memory Errors to Attack a Virtual Machine, IEEE S&P 2003.}}
 +  * {{https://arxiv.org/pdf/1904.09724.pdf|O. Mutlu, J. S. Kim, RowHammer: A Retrospective," IEEE TCAD, 2019}}
  
 ===== Session 8 (23.12 Thu.) ===== ===== Session 8 (23.12 Thu.) =====
Line 199: Line 203:
   * [[ https://security.googleblog.com/2021/05/introducing-half-double-new-hammering.html| Salman Qazi, Yoongu Kim, Nicolas Boichat, Eric Shiu & Mattias Nissler, "Introducing Half-Double: New Hammering Technique for DRAM RowHammer   * [[ https://security.googleblog.com/2021/05/introducing-half-double-new-hammering.html| Salman Qazi, Yoongu Kim, Nicolas Boichat, Eric Shiu & Mattias Nissler, "Introducing Half-Double: New Hammering Technique for DRAM RowHammer
 Bug" ]] Bug" ]]
 +  * {{https://arxiv.org/pdf/1904.09724.pdf|O. Mutlu, J. S. Kim, RowHammer: A Retrospective," IEEE TCAD, 2019}}
  
 === Mentioned (Session 8.2) === === Mentioned (Session 8.2) ===
readings.txt · Last modified: 2021/12/30 11:02 by alserm