User Tools

Site Tools


schedule

This is an old revision of the document!


Lecture Video Playlist on YouTube

Spring 2021 Lectures/Schedule

Week Date Livestream Lecture Readings Lab HW
W1 25.02
Thu.
Live
L1: Introduction and Basics
(PDF) (PPT)
Required
Suggested
Mentioned
26.02
Fri.
Live
L2a: Tradeoffs, Metrics, Mindset
(PDF) (PPT)
Required
L2b: Mysteries in Computer Architecture
(PDF) (PPT)
Required
Mentioned
W2 04.03
Thu.
Live
L3a: Mysteries in Computer Architecture II
(PDF) (PPT)
Required
Suggested
Mentioned
L3b: Introduction to the Labs and FPGAs
(PDF) (PPT)
Required
Suggested
Mentioned
05.03
Fri.
Live
L4: Combinational Logic I
(PDF) (PPT)
Video-Required
Required
Suggested
Mentioned
W3 11.03
Thu.
Live
L5: Combinational Logic II
(PDF) (PPT)
Video-Required
Required
Suggested
12.03
Fri.
Premiere
L6: Sequential Logic Design
(PDF) (PPT)
Video-Required
Required
Suggested
Mentioned
W4 18.03
Thu.
Live
L7: Hardware Description Languages and Verilog
(PDF) (PPT)
Video-Required
Required
19.03
Fri.
Live
L8: Timing and Verification
(PDF) (PPT)
Video-Required
Required
Suggested
W5 25.03
Thu.
Live
L9: Von Neumann Model & Instruction Set Architectures
(PDF) (PPT)
Video-Required
Required
Suggested
26.03
Fri.
Live
L10a: Instruction Set Architectures II
(PDF) (PPT)
Required
Suggested
L10b: Assembly Programming
(PDF) (PPT)
Required
Suggested
W6 01.04
Thu.
Live
L11: Microarchitecture Fundamentals
(PDF) (PPT)
Required
Suggested
08.04
Thu.
Premiere
Optional Lecture: Future Computing Architectures: Challenges & Opportunities
(PDF) (PPT)
Video
Optional Lecture: Future Computing Architectures
(PDF) (PPT)
W7 15.04
Thu.
Live
L12: Microarchitecture Fundamentals II
(PDF) (PPT)
Required
Suggested
16.04
Fri.
Live
L13: Pipelining
(PDF) (PPT)
Required
Suggested
W8 22.04
Thu.
Live
L14: Pipelined Processor Design
(PDF) (PPT)
Required
Suggested
Mentioned
Videos
23.04
Fri.
Live
L15a: Precise Exceptions
(PDF) (PPT)
Required
Suggested
Mentioned
Videos
L15b: Out-of-Order Execution I
(PDF) (PPT)
Required
Suggested
Mentioned
Videos
W9 29.04
Thu.
Premiere
L16: Out-of-Order Execution
(PDF) (PPT)
Required
Suggested
Mentioned
Videos
30.04
Fri.
Live
L17a: Dataflow & Superscalar Execution
(PDF) (PPT)
Required
Suggested
Videos
L17b: Branch Prediction I
(PDF) (PPT)
Required
W10 06.05
Thu.
Live
L18: Branch Prediction II
(PDF) (PPT)
Required
Suggested
Mentioned
07.05
Fri.
Live
L19a: VLIW
(PDF) (PPT)
L19a: Recommended Material: Static Instruction Scheduling
Video
Mentioned
L19b: Systolic Arrays and Beyond
(PDF) (PPT)
Required
Suggested
Mentioned
L19c: Decoupled Access/Execute
(PDF) (PPT)
Mentioned
W11 14.05
Fri.
Live
L20: SIMD Processing (Vector and Array Processors)
(PDF) (PPT)
Required
Suggested
Mentioned
W12 20.05
Thu.
Live
L21: Graphics Processing Units
(PDF) (PPT)
L21: Recommended Material: GPU Programming
Video
Required
Suggested
Mentioned
21.05
Fri.
Live
L22: Memory Organization and Memory Technology
(PDF) (PPT)
Required
Suggested
Mentioned
W13 27.05
Thu.
Live
L23: Memory Hierarchy and Caches
(PDF) (PPT)
Required
Suggested
Mentioned
28.05
Fri.
Live
L24: Advanced Caches
(PDF) (PPT)
Required
Suggested
Mentioned
W14 03.06
Thu.
Live
L25: Prefetching and Virtual Memory
(PDF) (PPT)
Required
Suggested
Mentioned
04.07
Fri.
Live
L26: Virtual Memory and Epilogue
schedule.1622529157.txt.gz · Last modified: 2021/06/01 06:32 by firtinac